跳到主要內容區

 

大聯盟已發表重要論文(摘錄)

序號 論文名稱 姓名 發表刊物/研討會名稱 卷期
1 A Fully Integrated High-Power-Supply-Rejection Linear Regulator with an Output-Supplied Voltage Reference Yan-Peng Chen and Kea-Tiong Tang* IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) Volume 67
2 Embedded 1 Mb ReRAM Based Computing in Memory Macro With Multibit Input and Weight for CNN Based AI Edge Processors. Cheng Xin Xue, Meng-Fan Chang et. al. Embedded 1 Mb ReRAM Based Computing in Memory Macro With Multibit Input and Weight for CNN Based AI Edge Processors. Volume 55
3 Co Silicide with Low Contact Resistivity Formed by Atomic Layer Deposited Cobalt Deposition and Subsequent Annealing Shih-Chieh Teng, Zheng-Yong Liang, Chuan-Pu Chou, Yu-Hsuan Tsai, Po-Wen Chiu and Yung-Hsien Wu IEEE Electron Device Letter Volume 41
4 Photogating WS2 Photodetectors Using Embedded WSe2 Charge Puddles Tsung-Han Tsai, Zheng-Yong Liang, Yung-Chang Lin, Cheng-Chieh Wang, Kuang-I Lin, Kazu Suenaga, and Po-Wen Chiu* ACS Nano Volume 14
5 Graphene-Transition Metal Dichalcongenide Heterjunctions for Scalable and Low-Power Complementary Integrated Circuits Chao-Hui Yeh, Zheng-Yong Liang, Yung-Chang Lin, Hsiang-Chieh Chen, Ta Fan, Chun-Hao Ma, Ying-Hao Chu, Kazu Suenaga, and Po-Wen Chiu* ACS Nano Volume 14
6 Nearly Epitaxial Low-Resistive Co Germanide Formed by Atomic Layer Deposited Cobalt and Laser Thermal Annealing Shih-Chieh Teng, Zheng-Yong Liang, Chuan-Pu Chou, Yu-Hsuan Tsai, Po-Wen Chiu and Yung-Hsien Wu IEEE Electron Device Letter Volume 41
7 Test Pattern Design for Plasma Induced Damage on Inter-Metal Dielectric in FinFET Cu BEOL Processes Chi Su*, Yi-Pei Tsai, Chrong-Jung Lin and Ya-Chin King Nanoscale Research Letters (NRL) Volume 15
8 Gold-Catalyzed Iminations of Terminal Propargyl Alcohols with Anthranils with Atypical Chemoselectivity for C(1)-Additions and 1,2-Carbon Migration Manisha Skaria, Sayaji Arjun More, Tung‐Chun Kuo, Mu‐Jeng Cheng*, Rai‐Shung Liu* Chem. Eur. J. Volume 26(16)
9 Gold(I)-Catalyzed Highly Diastereo- and Enantioselective Cyclization-[4+3] Annulation Cascades between 2-(1-Alkynyl)-2-alken-1-ones and Anthranils Rahul Dadabhau Kardile, Tzu-Hsuan Chao, Mu-Jeng Cheng* and Rai-Shung Liu*  Angew. Chem. Int. Ed. Volume 59(26)
10 Gold-catalyzed [4+3]-Annulations of  Benzopyriliums with Vinyldiazo Carbonyls to Form Bicyclic Heptatriene Rings with Skeletal Rearrangement Antony Sekar Kulandai Raj, and Rai-Shung Liu* Adv. Synth. Catal. Volume 362(12)
11 Gold(I)-Catalyzed Highly Enantioselective [4+2]-Annulations of Cyclopentadieness with Nitrosoarenes via Nitroso-Povarov versus Oxidative Nitroso-Povarov Reactions Prakash D. Jadhav, Jia-Xuan Chen, and Rai-Shung Liu* ACS Catal. Volume 10(10)
12 Gold-catalyzed Oxidations of 1,3-Diynamides with C(1) Versus C(3) Regioselectivity: Catalyst-Dependent Oxidative Cyclizations in the C(3) Oxidation Manisha Skaria, Yu-Chen Hsu, Yan-Ting Jiang, Ming-Yi Lu, Tung-Chun Kuo, Mu-Jeng Cheng*, and Rai-Shung Liu* Org. Lett. Volume 22(11)
13 On-Wafer FinFET-Based EUV/eBeam Detector Arrays for Advanced Lithography Processes Chien-Ping Wang, Yi-Pei Tsai, Burn Jeng Lin, Zheng-Yong Liang, Po-Wen Chiu, Jiaw-Ren Shih, Chrong Jung Lin and Ya-Chin King* IEEE Transactions on Electron Devices (TED) Volume 67
14 A CMOS-integrated compute-in-memory macro based on resistive random-access memory for AI edge devices Xue, CX., Chiu, YC., Liu, TW., Meng-Fan Chang, et al. Nature Electron 4  Volume 4
15 In-memory Learning with Analog Resistive Switching Memory: A Review and Perspective Yue Xi, Bin Gao, Jianshi Tang, An Chen, Meng-Fan Chang, Xiaobo Sharon Hu, Jan Van Der Spiegel, He Qian, Huaqiang Wu Proceedings of the IEEE  Volume 109
16 A Fully Integrated High-Power-Supply-Rejection Linear Regulator with an Output-Supplied Voltage Reference Yan-Peng Chen and Kea-Tiong Tang* IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I)  Volume 67(11)
17 A 0.5V Real-Time Computational CMOS Image Sensor with Programmable Kernel for Feature Extraction Hsu, Tzu-Hsiang; Liu, Ren-Shuo; Lo, Chung-Chuan; Tang, Kea-Tiong; Chang, Meng-Fan; Hsieh, Chih-Cheng IEEE Journal of Solid-State Circuits  Volume 56
18 Using a Hybrid Deep Neural Network for Gas Classification Syuan-He Wang, Ting-I Chou, Shih-Wen Chiu, and Kea-Tiong Tang* IEEE Sensors Journal  Volume 21(5)
19 An Adjustable Dual-Output Current Mode MOSFET-Only Filter Meysam Akbari, Safwan Mawlood Hussein, Yasir Hashim, and Kea-Tiong Tang* IEEE Transactions on Circuits and Systems II: Express Briefs  Volume 68(6)
20 A 0.3-V adjustable current winner-take-all circuit for analog neural networks Meysam Akbari, and Kea-Tiong Tang* Electronic Letters  Volume 57
21 A Local Computing Cell and 6T SRAM based Computing-in-Memory Macro with 8b MAC Operation for Edge AI Chips Si, Xin; Tu, Yung-Ning; Huang, Wei-Hsing; Su, Jian-Wei; Lu, Pei-Jung; Wang, Jing-Hong; Liu, Ta-Wei; Wu, Ssu-Yen; Liu, Ruhui; Chou, Yen-Chi; Chung, Yen-Lin; Shih, William; Lo, Chung-Chuan; Liu, Ren-Shuo; Hsieh, Chih-Cheng; Tang, Kea-Tiong; Lien, Nan-Chun; Shih, Wei-Chiang; He, Yajuan; Li, Qiang; Chang, Meng-Fan IEEE Journal of Solid State Circuits  Volume 27
22 A 0.3-V Conductance-Based Silicon Neuron in 0.18 µm CMOS Process Meysam Akbari, Ting-I Chou, and Kea-Tiong Tang* IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II)  Volume 16
23 A 0.8V Multimode Vision Sensor for Motion and Saliency Detection with Ping-Pong PWM Pixel Hsu, Tzu-Hsiang; Chen, Yen-Kai; Chiu, Min-Yang; Chen, Guan-Cheng; Liu, Ren-Shuo; Lo, Chung-Chuan; Tang, Kea-Tiong; Chang, Meng-Fan; Hsieh, Chih-Cheng IEEE Journal of Solid-State Circuits  Volume 07
24 MARS: Multi-core Architecture SRAM CIM-Based Accelerator with Co-designed Compressed Neural Networks Syuan-Hao Sie, Jye-Luen Lee, Yi-Ren Chen, Chih-Cheng Lu, Ren-Shuo Liu, Chih-Cheng Hsieh, Meng-Fan Chang and Kea-Tiong Tang*  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)  Volume 1
25 An Enhanced Input Differential Pair for Low-Voltage Bulk-Driven Amplifiers Meysam Akbari, and Kea-Tiong Tang* IEEE Transactions on VLSI Systems (TVLSI)  Volume 10
26 Mimic Drug Dosage Modulation for Neuroplasticity based on Charge-trap Layered Electronics Caifang Gao, Mu-Pai Lee, Mengjiao Li, Ko-Chun Lee, Feng-Shou Yang, Che-Yi Lin, Kenji Watanabe, Takashi Taniguchi, Po-Wen Chiu, Chen-Hsin Lien, Wen-Wei Wu, Shu-Ping Lin*, Wenwu Li*, Yen-Fu Lin* and Junhao Chu Advanced functional materials  Volume 31(5)
27 Scalable T-gate Aligned Gr-WS2-Gr Radio-Frequency Field-Effect Transistors Chao-Hui Yeh, Zheng-Yong Liang, Yung-Chang Lin, Chun-Hao Ma, Ying-Hao Chu, Kazu Suenaga, and Po-Wen Chiu* ACS Appl. Electron. Mater.  Volume 2(12)
28 Inverse paired-pulse facilitation in neuroplasticity based on interface-boosted charge trapping layered electronics Ko-Chun Lee, Mengjiao Lib*, Yu-Hsiang Chang, Shih-Hsien Yang, Che-YiLin, Yuan-Ming Chang, Feng-Shou Yang, Kenji Watanabe, Takashi Taniguchi, Ching-Hwa Ho, Chen-Hsin Lien, Shu-Ping Lin, Po-Wen Chiu*, and Yen-Fu Lin* Nano Energy  Volume 77
29 Two-Dimensional Iodine-Monofluoride Epitaxy on WSe2 Yung-Chang Lin, Sungwoo Lee, Yao-Pang Chang, Po-Wen Chiu, Gun-Do Lee, Kazu Suenaga NPJ 2D Mater.  Volume 5
30 Embedment of Multiple Transition Metal Impurities into WS2 Monolayer for Bandstructure Modulation Ming-Deng Siao, Yung-Chang Lin, Tao He, Meng-Yu Tsai,1, Kuei-Yi Lee, Shou-Yi Chang, Kuang-I Lin, Yen-Fu Lin, Mei-Yin Chou, Kazu Suenaga, and Po-Wen Chiu* Small  Volume 17
31 Formation of Highly Doped Nanostripes in 2D Transition Metal Dichalcogenides via a Dislocation Climb Mechanism Yung-Chang Lin,* Jeyakumar Karthikeyan, Yao-Pang Chang, Shisheng Li, Silvan Kretschmer, Hannu-Pekka Komsa, Po-Wen Chiu, Arkady V. Krasheninnikov,* and Kazu Suenaga* Advanced Materials  Volume 33
32 Artificial mechanoreceptor based on van der Waals stacking structure Ko-Chun Lee, Shun-Yong Huang, Shih-Hsien Yang, Meng-Yu Tsai, Che-Yi Lin, Mengjiao Li, Yuan-Ming Chang, Kenji Watanabe, Takashi Taniguchi, Ying-Chih Lai, Shu-Ping Lin, Po-Wen Chiu & Yen-Fu Lin Matter  Volume 04
33 Detectors Array for In Situ Electron Beam Imaging by 16-nm FinFET CMOS Technology Chien-Ping Wang, Burn Jeng Lin, Jiaw-Ren Shih, Yue-Der Chih, Jonathan Chang, Chrong Jung Lin and Ya-Chin King* Nanoscale Research Letters (NRL)  Volume 16
34 On-Wafer Electron Beam Detectors by Floating-Gate FinFET Technologies S. J. Wang, C. -A. Yang, B. J. Lin, C. J. Lin and Y. -C. King* IEEE Transactions on Electron Devices  Volume 68
35 Gold(I)-catalyzed Reactions between 2-(1-Alkynyl)-2-alken-1-ones and Vinyldiazo Ketones for Divergent Synthesis of Nonsymmetric Heteroaryl-Substituted Triarylmethanes: N- versus C-Attack Paths  Rahul Dadabhau Kardile, and Rai-Shung Liu* Org. Lett.  Volume 22(21)
36 Gold-Catalyzed Oxidative Aminocyclizations of Propargyl Alcohols and Progargyl Amines to form Two Distinct Azacyclic Products: Carbene formation versus a 3,3-Sigamatropic Shift of an initial Intermediate.   Amit Vijay Sasane, Antony Sekar Kulandai Raj, Tzu-Hsuan Chao,Mu-Jeng Chen*, and Rai-Shung Liu*  Chem. Eur. J.  Volume 26
37 Gold-catalyzed Bicyclic and [3+2]-Annulations of Internal Propargyl Alcohols with Nitrones and Imines To Yield to Two Distinct Heterocycles Sayaji Arjun More, Tzu-Hsuan Chao, Mu-Jeng Chen*, and Rai-Shung Liu* Adv. Synth. Catal.  Volume 363(2)
38 Gold-catalyzed [3+2]-Annulations of a-Aryl Diazoketones with the Tetrasubstituted Alkenes of Cyclopentadienes: High Stereoselectivity and Enantioselectivity Ching-Nung Chen, Wei-Min Cheng, Jian-Kai Wang, Chao Tzu-Hsuan, Cheng Mu-Jeng*, and Rai-Shung Liu* Angew. Chem. Int. Ed.  Volume 60(9)
39 Gold(I)-Catalyzed Reactions between N-(o-Alkynylphenyl)imines and Vinyldiazo Ketones to Form 3-(Furan-2-ylmethyl)-1H-indoles via Postulated Azallyl Gold and Allylic Cation Intermediates Antony Sekar Kulandai Raj, Akshay Subhash Narode, and Rai-Shung Liu* Org. Lett.  Volume 23(4)
40 Gold(I)-catalyzed Oxidative 1,4-Additions of 3-En-1-ynamide with Nitrones via Carbon- versus Nitrogen-addition Chemoselectivity Sudhakar Dattatray Tanpure, Balaji S. Kale and Rai-Shung Liu* Org. Lett.  Volume 23(4)
41 Challenges and Trends of Nonvolatile In-Memory-Computation Circuits for AI Edge Devices J. -M. Hung, M. -F. Chang, et al. IEEE Open Journal of the Solid-State Circuits Society  Volume 01
42 A four-megabit compute-in-memory macro with eight-bit precision based on CMOS and resistive random-access memory for AI edge devices J. -M. Hung, M. -F. Chang, et al. Nature Electronics  Volume 4
43 WSe2/WS2 Heterobilayer Nonvolatile Memory Device with Boosted Charge Retention Ming-Deng Siao, Ashish Chhaganlal Gandhi, Anup Kumar Sahoo, Yi-Chieh Wu, Hong-Kai Syu, Meng-Yu Tsai, Tsung-Han Tsai, Yueh-Chiang Yang, Yen-Fu Lin, Rai-Shung Liu, and Po-Wen Chiu* ACS Appl. Mater. Interfaces  Volume 14
44 Characterizations of Ion-Sensitive Field-Effect Transistors with Silicon Wire Array Channels and Stack-Sensing Membrane  Henry J. H. Chen, Tzu Nien Lee, Shin-Lun Tseng, Sun-Zen Chen, and Po-Wen Chiu Journal of The Electrochemical Society  Volume 169
45 Electric control of valley polarization in monolayer WSe2 using a van der Waals magnet  Jia-Xin Li, Wei-Qing Li, Sheng-Hsiung Hung, Po-Liang Chen, Yueh-Chiang Yang, Tian-Yun Chang, Po-Wen Chiu , Horng-Tay Jeng and Chang-Hua Liu Nature Nanotechnology  Volume 17
46 Embedded Micro-detectors for EUV Exposure Control in FinFET CMOS Technology Chien-Ping Wang, Burn Jeng Lin, Pin-Jiun Wu, Jiaw-Ren Shih, Yue-Der Chih, Jonathan Chang, Chrong Jung Lin and Ya-Chin King* Nanoscale Research Letters (NRL)  Volume 17
47 Multifunctional Ion-Sensitive Floating Gate Fin Field-Effect Transistor with Three-Dimensional Nanoseaweed Structure by Glancing Angle Deposition Technology Ying-Chun Shen, Chien-Ping Wang, Kun-Lin Liou, Po-Hung Tan, Yi-Chung Wang, Shu-Chi Wu, Tzu-Yi Yang, Yi-Jen Yu, Tsung-Yu Chiang, Yue-Der Chih, Jonathan Chang, Jiaw-Ren Shih, Chrong Jung Lin, Ya-Chin King, Yu-Lun Chueh Small  Volume 18
48 Complementary Metal–Oxide–Semiconductor Compatible 2D Layered Film-Based Gas Sensors by Floating-Gate Coupling Effect Po-Hung Tan, Che-Hao Hsu, Ying-Chun Shen, Chien-Ping Wang, Kun-Lin Liou, Jiaw-Ren Shih, Chrong Jung Lin, Ling Lee, Kuangye Wang, Hong-Min Wu, Tsung-Yu Chiang, Yue-Der Chih, Jonathan Chang, Ya-Chin King, Yu-Lun Chueh Advanced Functional Materials (AFM)  Volume 32
49 Gold(I)-Catalyzed Highly Diastereo- and Enantioselective Constructions of Bicyclo[3.2.1]oct-6-ene Frameworks via (4 + 3)-Cycloadditions. Sudhakar Dattatray Tanpure, Tung-Chun Kuo, Mu-Jeng Cheng, and Rai-Shung Liu* ACS Catal.  Volume 12(1)
50 Dynamic Kinetic Resolution in Gold-Catalyzed (4+2)-Annulations between Alkynyl Benzaldehydes and Allenamides to Yield Enantioenriched All-Carbon Diarylalkylmethane Derivatives Yashwant Bhaskar Pandit, and Rai-Shung Liu* Org. Lett.  Volume 24(2)
51 Gold-Catalyzed Bicyclic Annulations of N- (o-Alkynylphenyl)imines with α-Diazo Esters to Form 5,6-Dihydroindolo[2,1- a]isoquinolines Akshay Subhash Narode, and Rai-Shung Liu* Org. Lett.  Volume 24(11)
52 Gold(I) Catalyzed [4+2] Annulation between Arylynes and C,N-Diaryl Nitrones for Chemoselective Synthesis of Quinoline Scaffolds via Gold Acetylide Intermediates Debashis Barik and Rai-Shung Liu* J. Org. Chem.  Volume 87(11)
53 A 0.8V Multimode Vision Sensor for Motion and Saliency Detection with Ping-Pong PWM Pixel Tzu-Hsiang Hsu, Yen-Kai Chen, Jun-Shen Wu, Wen-Chien Ting, Cheng-Te Wang, Chen-Fu Yeh, Syuan-Hao Sie, Yi-Ren Chen, Ren-Shuo Liu, Chung-Chuan Lo, Kea-Tiong Tang, Meng-Fan Chang, Chih-Cheng Hsieh 2020 IEEE International Solid-State Circuits Conference (ISSCC) Feb. 2020.
54 AI Edge Devices Using Computing-In-Memory and Processing-In-Sensor: From System to Device Tzu-Hsiang Hsu, Yen-Cheng Chiu, Wei-Chen Wei, Yun-Chen Lo, Chung-Chuan Lo, Ren-Shuo Liu, Kea-Tiong Tang, Meng-Fan Chang, and Chih-Cheng Hsieh 2019 IEEE International Electron Devices Meeting (IEDM) Dec. 2019.
55 A 0.5V Real-time Computational CMOS Image Sensor with Programmable Kernel for Always-on Feature Extraction Tzu-Hsiang Hsu, R.-S. Liu, C.-C. Lo, K.-T. Tang, M.-F. Chang, and Chih-Cheng Hsieh 2019 IEEE Asian Solid-State Circuits Conference (ASSCC) Nov. 2019.
56 A 22nm 2Mb ReRAM Compute in Memory Macro with 121 28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices. Cheng Xin Xueet, Meng-Fan Chang. al. IEEE International Solid State Circuits Confe rence (ISSCC) Feb. 2020.
57 Hair-Like Nanostructure Based Ion Detector by 16nm FinFET Technology Chien-Ping Wang, Ying-Chun Shen, Kun-Lin Liou, Yu-Lun Chueh, Yue-Der Chih, Jonathan Chang, Jiaw-Ren Shih, Chrong Jung Lin and Ya-Chin King* 2020 IEEE Symposia on VLSI Technology and Circuits (VLSI) June 2020.
58 An Improved STBP for Training High-Accuracy and Low-Spike-Count Spiking Neural Networks Pai-Yu Tan, Cheng-Wen Wu and Juin-Ming Lu, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE) Feb. 2021.
59 Fault Models and Test Algorithms for Memristor-Based Spiking Neural Network Hsueh-Hung Cheng, Kuan-Wei Hou, and Cheng-Wen Wu Proc. 32nd VLSI Design/CAD Symp. Aug. 2021.
60 Tile-Based Architecture Exploration for Convolutional Accelerators in Deep Neural Networks Yang-Tsai Chen, Yu-Xiang Yen, Chun-Tse Chen, Tzu-Yu Chen, Chih-Tsun Huang, Jing-Jia Liou, and Juin-Ming Lu 2021 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS)  June 2021.
61 16.1 A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny AI Edge Devices C. -X. Xue, M.-F. Chang et al.  IEEE International Solid-State Circuits Conference (ISSCC) Feb. 2021
62 Challenges of Computation-in-Memory Circuits for AI Edge Applications C.-J. Jhang, P.-C. Chen and M.-F. Chang  International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) Apr. 2021.
63 First Demonstration of Ultrafast Laser Annealed Monolithic 3D Gate-All-Around CMOS Logic and FeFET Memory with Near-Memory-Computing Macro F. -K. Hsueh, M.-F. Chang, et al. IEEE International Electron Devices Meeting (IEDM) Dec. 2020.
64 Value-Aware Error Detection and Correction for SRAM Buffers in Low-Bitwidth, Floating-Point CNN Accelerators Jun-Shen Wu, Chi-En Wang, Ren-Shuo Liu* IEEE Asia and South Pacific Design Automation Conference (ASP-DAC)  Jan. 2021.
65 A 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b of Precision for AI Edge Chips J-W. Su, Y-C. Chou, R. Liu, T-W. Liu, M.-F. Chang, et al. SRAM Computation-in-Memory Macro with 8b of Precision for AI Edge Chips”, 2021 International Solid-State Circuits Conference (ISSCC 2021) Feb. 2021.
66 POPPINS: a Population-Based Digital Spiking Neuromorphic Processor with Integer Quadratic Integrate-and-Fire Neurons Zuo-Wei Yeh, Chia-Hua Hsu, Chen-Fu Yeh, Wen-Chieh Wu, Cheng-Te Wang, Chung-Chuan Lo, and Kea-Tiong Tang 2021 International Solid-State Circuits Conference (ISSCC 2021), Virtual Conference Apr. 2021.
67 Integer Quadratic Integrate-and-Fire (IQIF): A Neuron Model for Digital Neuromorphic Systems Chen-Fu Yeh, Wen-Chieh Wu, Alexander James White, Cheng-Te Wang, Zuo-Wei Yeh, Chih-Cheng Hsieh, Ren-Shuo Liu, Kea-Tiong Tang, Chung-Chuan Lo 2021 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS) Jun. 2021.
68 A Bio-Inspired Motion Detection Circuit for the Computation of Optical Flow: The Spatial-Temporal Filtering Reichardt Model Hsin-Yu Wu, Wei-Tse Kao, Cheng-Te Wang, Chih-Cheng Hsieh, Ren-Shuo Liu, Kea-Tiong Tang, Chung-Chuan Lo 2021 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS) Jun. 2021.
69 Globally Assisted Instance Normalization for Bandwidth-Efficient Neural Style Transfer Hsiu-Pin Hsu, Chao-Tsung Huang SiPS 2021. Oct. 2021.
70 On-Wafer Electronic Layer Detectors Array (ELDA) for e-beam Imaging in Advanced Lithographic Systems Chien-Ping Wang, Burn Jeng Lin, Jiaw-Ren Shih, Yue-Der Chih, Jonathan Chang, Chrong Jung Lin and Ya-Chin King* On-Wafer Electronic Layer Detectors Array (ELDA) for e-beam Imaging in Advanced Lithographic Systems,” in 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) Apr. 2021.
71 A HD 31fps 7×7-View Light-Field Factorization Processor for Dual-Layer 3D Factored Display L-Q. Weng, L-D. Chen, H-C. Cheng, A-Y. Zheng, K-P. Lin, C-T. Huang IEEE International Solid-State Circuits Conference (ISSCC) Feb. 2022
72 Globally Assisted Instance Normalization for Bandwidth-Efficient Neural Style Transfer H.-P. Hsu and C.-T. Huang IEEE International Workshop on Signal Processing Systems (SiPS) Oct. 2021.
73 An 8Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4 TOPS/W - 21.6 TOPS/W for AI Edge Devices J. -M. Hung, M. -F. Chang, et al. IEEE International Solid-State Circuits Conference (ISSCC) Feb. 2022.
74 A 0.8V Intelligent Vision Sensor with Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification T. -H. Hsu, Chih-Cheng Hsieh, et al. 2022 IEEE International Solid- State Circuits Conference - (ISSCC) Feb. 2022.
75 A Memory Built-In Peer-Repair Architecture for Mesh-Connected Processor Array P.-Y. Tan, C.-H. Tung, C.-W. Wu, M. Lee, and G. Liao Proc. Int. Symp. on VLSI Design, Automation, and Test (VLSI-DAT) Apr. 2022.
76 A Thermal Quorum Sensing Scheme for Enhancement of Integrated-Circuit Reliability and Lifetime H.-H. Wang, P.-Y. Chuang, and C.-W. Wu Proc. Int. Symp. on VLSI Design, Automation, and Test (VLSI-DAT) Apr. 2022.
77 Fault Modeling and Testing of Memristor-Based Spiking Neural Networks K.-W. Hou, H.-H. Cheng, C. Tung, C.-W. Wu and J.-M. Lu Proc. IEEE Int. Test Conf. (ITC) Sept. 2022
78 A 62.45 TOPS/W Spike-Based Convolution Neural Network Accelerator with Spatiotemporal Parallel Data Flow and Sparsity Mechanism C.-H. Hsu, Y.-H. Cheng, K.-T. Tang 2022 VLSI Design / CAD Symposium(VLSI-CAD) June.2022.
79 A Linear Quantization Training Method for Hardware Constraints of In-Memory Computing Architecture H.-W. Kuo, Z.-F. Li, K.-T. Tang 2022 VLSI Design / CAD Symposium(VLSI-CAD) June.2022.
80 Efficient Segment-wise Pruning for DCNN Inference Accelerators C.-C. Yang, Y.-T. Shih, C.-C. Chen, C.-T. Huang, J.-J. Liou, Y.-H. Chen and J.-M. Lu International Symposium on VLSI Design, Automation and Test (VLSI-DAT) Apr. 2022.
81 2T-Pixel Sensors Array for on-Wafer in-Chamber DUV Sensing Wei-Hwa Lin, Chien-Ping Wang, Jiaw-Ren Shih, Chrong-Jung Lin and Ya-Chin King* 2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) Apr. 2022.
82 An Investigation of Plasma Charging Effect on FinFET Front-End-of-Line Processes Kai-Wei Yang, Yi-Jei Chao, Jiaw-Ren Shih, Chrong-Jung Lin and Ya-Chin King 2022 IEEE Electron Devices Technology and Manufacturing (EDTM) Mar. 2022.
83 Physical Unclonable Function by Random Plasma Charging Event in FinFET CMOS Processes Wei Chang, Yi-Jie Chao, Chrong Jung Lin and Ya-Chin King 2021 International Electron Devices & Materials Symposium (IEDMS) Nov. 2021.
84 On-Wafer DUV Detector Through Metal Sensing Pad by 28nm CMOS Technologies Chia-Ru Lu, Chien-Ping Wang, Jiaw-Ren Shih, Chrong Jung Lin, and Ya-Chin King 2021 International Electron Devices & Materials Symposium (IEDMS) Nov. 2021.